075玩软件园
首页 > 软件下载 > 应用工具 > 管理工具 > GTKWave(波形文件查看工具) V3.3.94 绿色版
更多下载地址
GTKWave(波形文件查看工具) V3.3.94 绿色版

软件大小:10.92M

软件语言:简体中文

软件授权:免费软件

软件类别:管理工具

软件等级:

更新时间:2023-12-23

官方网站:

应用平台:Win2003,WinXP,Vista,Win7,Win8

  • 软件介绍
  • 软件截图
  • 下载地址

  GTKWave 是一款很实用的波形文件查看工具,使用该工具可以有效地查看windows或者linux平台下创建的波形文件,软件基于gtk+打种过,可以快速读取模拟设计模型的信号级别或电路中捕获的信号,兼容LXT、LXT2、VZT、FST或GHW等主流的文件格式。

GTKWave

【软件特色】

  1、基于GTK+的wave查看器

  2、测试或调试硬件板的一个有用的解决方案

  3、支持LXT、LXT2、VZT、FST或GHW以及Verilog VCD/EVCD文档的查看

  4、可以使用文件请求程序替换转储文件名

  5、您可以使用自定义名称将信息导出到VCD、LXT或TIM文件

  6、允许你设置跟踪hier,以及别名高亮显示跟踪

  7、您可以将程序设置为读取十六进制数据、十进制、有符号十进制、二进制、八进制、ASCII码

  8、拥有强大的搜索选项

GTKWave

【使用方法】

  写一个modules.f文件,其中包含 testbench.v、por.v

  然后运行 iverilog -c modules.f

  可以得到a.out,再运行a.out后,得到test.dump。之后可以用gtkwave打开test.dump,观察波形。

软件截图
  • GTKWave(波形文件查看工具) V3.3.94 绿色版
关 键 词:
  • 下载地址
  • Android版
  • iPhone版
普通下载地址
  • 热门软件
  • 热门标签
返回顶部